[소부장 슈퍼을]삼성·SK도 줄서는 HPSP, 메모리로 성장 가속…경쟁사 진입 변수

입력
기사원문
이진솔 기자
TALK
본문 요약봇
성별
말하기 속도

이동 통신망을 이용하여 음성을 재생하면 별도의 데이터 통화료가 부과될 수 있습니다.

우리 반도체 소부장 기업은 삼성전자와 SK하이닉스라는 전방기업과 협력하며 성장해왔습니다. 하지만 세계적인 경쟁력을 갖춘다면 소부장도 슈퍼을로 군림하는 네덜란드 ASML과 같은 기업으로 성장할 수 있습니다. 미래 슈퍼을을 모색하는 소부장 기업을 소개합니다.
사진 출처=HPSP 홈페이지
세계 최초로 첨단공정용 고압수소 어닐링(Annealing) 장비를 개발해 현재까지 독점하고 있는 HPSP가 메모리반도체의 미세화 흐름을 타고 올해 고객사 확대를 노린다. 삼성전자와 SK하이닉스, 마이크론 등 주요 D램 제조사가 10나노미터(㎚) 5세대(1b) 전환에 속도를 내면서 파운드리(반도체 수탁생산)에 이어 메모리반도체 공급망에서도 HPSP의 존재감이 공고해질 전망이다.

변수는 경쟁사의 등장이다. 현재까지 첨단공정용 고압수소 어닐링 장비 시장에서 HPSP의 유력한 대체제가 없는 상황이지만, 공급이 수년간 이뤄진 만큼 경쟁사의 기술 개발 수준이 점차 높아지고 있다.

HPSP는 반도체원판(웨이퍼)에 회로를 형성하는 전공정 중 열처리를 뜻하는 어닐링 장비를 제조한다. 독자 기술을 활용해 효율과 안정성을 크게 높인 장비를 개발해 첨단공정용 어닐링 장비 시장에서는 사실상 독점적인 지위를 누리고 있다. 세계 파운드리 선두 업체인 대만 TSMC를 비롯해 삼성전자, SK하이닉스 등 주요 반도체 기업을 고객사로 두고 있다.

HPSP의 고압수소 어닐링 장비는 반도체 회로의 미세화로 발생하는 계면 결함을 전기적으로 비활성화해 성능을 높이는 역할을 한다. 반도체는 일반적으로 실리콘(탄화규소)으로 제작된 웨이퍼 위에 다양한 절연층과 금속층을 쌓는 방식으로 만들어진다. 이때 서로 다른 물질이 만나는 경계면을 계면이라고 하며 전자가 이동하고 전류가 흐르는 길이 된다. 계면에 불순물이나 결함이 생기면 전자 이동을 방해해 성능 저하의 원인이 된다.

반도체 제조사는 이러한 계면 결함을 완화하기 위해 어닐링 공정을 도입한다. 일반적으로 600도에서 1000도 사이의 고온에서 수소가스를 주입하는 방식이다. 수소가 고온에 반응해 계면전하에 붙어 이를 전기적으로 비활성화한다. 이때 수소 밀도가 커지면 전기적 특성을 보다 극대화할 수 있지만 폭발 등 안전 문제로 5% 미만으로 제한한다. 고온 공정은 반도체 회로의 금속 배선에 변형을 유발하기 때문에 열처리 효율을 높이기가 까다롭다.

HPSP가 개발한 장비는 고압에서 가스 농도를 높여 저온공정을 구현한 점이 특징이다. 고압을 활용해 450도 이하 저온에서도 수소 농도를 100%까지 높였다. 특히 미세공정에서 소자 성능을 개선하기 위해 쓰는 하이케이(고유전율) 절연막은 고온 공정에서 누설전류가 발생할 위험이 높은데, HPSP 장비를 활용하면 이러한 한계를 극복할 수 있다. 계면전하의 결함을 획기적으로 줄이면서도 수율(생산품 중 양품 비율)도 개선되는 셈이다.

경쟁사가 존재하지 않고 부가가치가 높은 미세공정에 투입된다는 장비 특성상 HPSP는 현재까지 가파른 성장세를 보였다. 2020년부터 지난해까지 연평균 30% 이상의 매출 확대가 이어졌고, 2020년 40.5%였던 영업이익률은 지난해 53.2%까지 증가했다.
HPSP 실적 추이. /자료=전자공시시스템
올해에는 메모리반도체를 중심으로 한 실적 성장이 기대된다. 메모리반도체용 장비는 시스템반도체에 비해 공급 단가가 높고 물량도 많다. 삼성전자와 SK하이닉스의 올해 설비투자 대부분이 증설보다는 선단공정 비중 확대에 집중될 가능성이 높기 때문이다. 특히 D램의 선단화로 하이케이 적용이 확대되며 어닐링 장비 수요가 계속 증가하고 있다. 낸드플래시 역시 200단 이상으로 적층 단수를 높이기 위해서는 HPSP 장비 활용이 필수다. 이에 따라 HPSP의 매출 중 메모리반도체 비중은 지난해 30% 수준에서 올해 40%까지 확대될 것으로 예상된다.

현재 어닐링 장비에 국한된 제품 포트폴리오를 확대하기 위해 HPSP는 고압산화공정 장비 개발을 진행해 왔다. 회사 관계자는 "올해 상반기 중 개발이 완료될 것으로 예상한다"고 말했다.

고압수소 어닐링 장비는 부가가치가 높고 공급사가 HPSP 한 곳뿐인 만큼 경쟁사들도 시장 진입에 눈독을 들이고 있다. HPSP는 추격을 막기 위해 30여 건에 달하는 특허로 기술 해자를 구축했다. 여기에 폭발성이 높은 수소 특성상 장비 제조를 위해 많은 인증이 필요하고 고객사의 신뢰성, 안정성 검증도 더 깐깐하게 이뤄진다는 점도 경쟁사 진입을 방해하는 요소다. 환경 관련 인증과 고객사 인증 기간을 감안하면 원천기술을 확보하더라도 최종 공급까지 4년여의 세월이 필요하다.

하지만 이러한 진입장벽을 뚫고 국내 장비 제조사인 예스티가 HPSP에 도전장을 냈다. 곧바로 HPSP는 지난해 9월 예스티가 자사 특허를 침해했다며 소송을 제기했고 예스티도 무효심판으로 대응했다.

HPSP가 소송에서 이긴다고 하더라도 예스티가 특허를 회피해 시장 진입에 나설 가능성이 높다. 예스티가 고객사 품질 검증을 진행해 온 만큼 공급에는 1년여의 기간이 걸릴 것으로 예상된다.

반도체 장비 업계 관계자는 "반도체 제조사는 장비 공급사 다변화를 원하기 때문에 경쟁사 진입 가능성은 항상 열려있다"며 "다만 HPSP가 국내외 주요 반도체 기업을 모두 고객사로 확보하며 오랜 기간 안정적으로 사업을 지속해 왔기에 단기간에 격차가 줄어들 가능성은 작다"고 말했다.

기자 프로필

이 기사는 언론사에서 IT 섹션으로 분류했습니다.
기사 섹션 분류 안내

기사의 섹션 정보는 해당 언론사의 분류를 따르고 있습니다. 언론사는 개별 기사를 2개 이상 섹션으로 중복 분류할 수 있습니다.

닫기
이 기사를 추천합니다
3